Direct SMARTS: Accelerating Microarchitectural Simulation Through Direct Execution

نویسنده

  • Shelley Chen
چکیده

Due to growing complexity and costs of hardware systems, computer architects traditionally rely on software simulation to evaluate new designs. Although software simulation excels in convenience and flexibility, it suffers from prohibitively long turnaround time. Researchers are constantly searching for methods to accelerate software simulations. SMARTS is a framework that uses rigorous statistical sampling to accelerate simulation time without sacrificing accuracy. Its turnaround time is limited by the speed of the functional warming mode, which updates architectural state and select microarchitectural structures. This paper presents direct warming as an efficient technique for accelerating functional warming. Direct warming extends direct execution, in which the simulated program code is executed natively on the host machine hardware rather than through emulation. To achieve identical simulation behavior to functional warming, direct warming integrates instrumentation code for record generation into the direct execution code. In this paper, we investigate and analyze several implementation alternatives to maximize the performance of direct warming by evaluating a collection of benchmarks on the RSIM simulator. On average, Direct SMARTS achieves a 96x speedup over full detailed simulation, with a maximum speedup of 134x. In addition, with the Direct SMARTS framework, we achieve an average error of 0.4%, with an upper bound of 0.7%.

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

Applying SMARTS to SPEC CPU 2000

Current software-based microarchitecture simulators are many orders of magnitude slower than the hardware they simulate. Hence, most microarchitecture design studies draw their conclusions from drastically truncated benchmark simulations that are often inaccurate and misleading. This paper presents the Sampling Microarchitecture Simulation (SMARTS) framework as an approach to enable fast and ac...

متن کامل

Accelerating Blocked Matrix-Matrix Multiplication using a Software-Managed Memory Hierarchy with DMA

The optimization of matrix-matrix multiplication (MMM) performance has been well studied on general-purpose desktop and server processors. Classic solutions exploit common microarchitectural features including superscalar execution and the cache and TLB hierarchy to achieve near-peak performance. Typical digital signal processors (DSPs) do not have these features, and instead use in-order execu...

متن کامل

Going Native: Faster Architectural Simulation Fast-Forwarding

As system complexity grows, cycle-accurate simulation experiments become inordinately time consuming. Most approaches to accelerating architectural simulation model only portions of an application in detail. Detailed simulation begins with architectural state from earlier portions of the execution. Constructing this requires fast-forwarding: modeling effects of earlier instructions without mode...

متن کامل

Simulation of Direct Pumping of Quantum Dots in a Quantum Dot Laser

In this paper, the nonlinear rate equations governing a quantum dot laser isused to simulate the transient as well as the steady-state behaviors of the laser.Computation results show that the rate equations are capable of simulating true behaviorof a quantum dot laser. Then, the pump rates of the rate equations (which show indirectelectrical pumping of the quantum dots through a wetting layer) ...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:

دوره   شماره 

صفحات  -

تاریخ انتشار 2004